English
Language : 

HC4GX15 Datasheet, PDF (343/668 Pages) Altera Corporation – HardCopy IV Device Handbook
Chapter 1: HardCopy IV GX Transceiver Architecture
1–79
Receiver Channel Datapath
Manual Alignment Mode Word Aligner with 10-Bit PMA-PCS Interface Mode
In Basic single-width mode with a 10-bit PMA-PCS interface, you can configure the
word aligner in manual alignment mode by selecting the Use manual word
alignment mode option in the ALTGX MegaWizard Plug-In Manager.
In manual alignment mode, the word aligner operation is controlled by the input
signal rx_enapatternalign. The word aligner operation is level-sensitive to the
rx_enapatternalign signal. If the rx_enapatternalign signal is held high, the
word aligner looks for the programmed 7-bit or 10-bit word alignment pattern in the
received data stream. It updates the word boundary if it finds the word alignment
pattern in a new word boundary. If the rx_enapatternalign signal is de-asserted
low, the word aligner maintains the current word boundary even when it sees the
word alignment pattern in a new word boundary.
Two status signals, rx_syncstatus and rx_patterndetect, with the same
latency as the datapath, are forwarded to the core fabric to indicate the word aligner
status. After receiving the first word alignment pattern after the
rx_enapatternalign signal is asserted high, both the rx_syncstatus and
rx_patterndetect signals are driven high for one parallel clock cycle. Any word
alignment pattern received thereafter in the same word boundary causes only the
rx_patterndetect signal to go high for one clock cycle. Any word alignment
pattern received thereafter in a different word boundary causes the word aligner to
re-align to the new word boundary only if the rx_enapatternalign signal is held
high. The word aligner asserts the rx_syncstatus signal for one parallel clock cycle
whenever it re-aligns to the new word boundary.
Figure 1–62 shows the manual alignment mode word aligner operation with 10-bit
PMA-PCS interface mode. In this example, a /K28.5/ (10'b0101111100) is specified as
the word alignment pattern. The word aligner aligns to the /K28.5/ alignment
pattern in cycle n because the rx_enapatternalign signal is asserted high. The
rx_syncstatus signal goes high for one clock cycle, indicating alignment to a new
word boundary. The rx_patterndetect signal also goes high for one clock cycle to
indicate initial word alignment. At time n + 1, the rx_enapatternalign signal is
de-asserted to instruct the word aligner to lock the current word boundary. The
alignment pattern is detected again in a new word boundary across cycles n + 2 and
n + 3. The word aligner does not align to this new word boundary because the
rx_enapatternalign signal is held low. The /K28.5/ word alignment pattern is
detected again in the current word boundary during cycle n + 5, causing the
rx_patterndetect signal to go high for one parallel clock cycle.
Figure 1–62. Word Aligner with 10-Bit PMA-PCS Manual Alignment Mode
n
n+1
n+2
n+3
n+4
n+5
rx_clkout
rx_dataout[10..0]
111110000 0101111100 111110000 1111001010 1000000101 111110000 0101111100
rx_enapatternalign
rx_patterndetect
rx_syncstatus
© June 2009 Altera Corporation
HardCopy IV Device Handbook Volume 3