English
Language : 

XC3S100E_06 Datasheet, PDF (34/231 Pages) Xilinx, Inc – Configurable Logic Block (CLB)
Functional Description
R
SHIFTIN
SRLC16
A[3:0]
DI (BY)
CE (SR)
CLK
SHIFT-REG
4 A[3:0]
D
MC15
WS
DI
WSG
WE
CK
DQ
Output
Registered
Output
(optional)
SHIFTOUT
or YB
X465_03_040203
Figure 28: Logic Cell SRL16 Structure
Each shift register provides a shift output MC15 for the last
bit in each LUT, in addition to providing addressable access
to any bit in the shift register through the normal D output.
The address inputs A[3:0] are the same as the distributed
RAM address lines, which come from the LUT inputs F[4:1]
or G[4:1]. At the end of the shift register, the CLB flip-flop
can be used to provide one more shift delay for the addres-
sable bit.
The shift register element is known as the SRL16 (Shift
Register LUT 16-bit), with a ‘C’ added to signify a cascade
ability (Q15 output) and ‘E’ to indicate a Clock Enable. See
Figure 29 for an example of the SRLC16E component.
I
SRLC16E
D
Q
CE
Q15
CLK
A0
A1
A2
A3
DS312-2_43_021305
Figure 29: SRL16 Shift Register Component with
Cascade and Clock Enable
The functionality of the shift register is shown in Table 20.
The SRL16 shifts on the rising edge of the clock input when
the Clock Enable control is High. This shift register cannot
be initialized either during configuration or during operation
except by shifting data into it. The clock enable and clock
inputs are shared between the two LUTs in a SLICEM. The
clock enable input is automatically kept active if unused.
Table 20: SRL16 Shift Register Function
Inputs
Outputs
Am CLK CE D
Q
Q15
Am X
0
X
Q[Am]
Q[15]
Am ↑
1
D
Q[Am-1]
Q[15]
Notes:
1. m = 0, 1, 2, 3.
For more information on the SRL16, refer to XAPP465:
Using Look-Up Tables as Shift Registers (SRL16) in Spartan-3
FPGAs.
34
www.xilinx.com
DS312-2 (v3.4) November 9, 2006
Product Specification