English
Language : 

C8051F388-B Datasheet, PDF (194/285 Pages) Silicon Laboratories – Flash MCU Family
C8051F388/9/A/B
22.1. Enhanced Baud Rate Generation
The UART0 baud rate is generated by Timer 1 in 8-bit auto-reload mode. The TX clock is generated by
TL1; the RX clock is generated by a copy of TL1 (shown as RX Timer in Figure 22.2), which is not user-
accessible. Both TX and RX Timer overflows are divided by two to generate the TX and RX baud rates.
The RX Timer runs when Timer 1 is enabled, and uses the same reload value (TH1). However, an
RX Timer reload is forced when a START condition is detected on the RX pin. This allows a receive to
begin any time a START is detected, independent of the TX Timer state.
Figure 22.2. UART0 Baud Rate Logic
Timer 1 should be configured for Mode 2, 8-bit auto-reload (see Section “25.1.3. Mode 2: 8-bit
Counter/Timer with Auto-Reload” on page 228). The Timer 1 reload value should be set so that overflows
will occur at two times the desired UART baud rate frequency. Note that Timer 1 may be clocked by one of
six sources: SYSCLK, SYSCLK/4, SYSCLK/12, SYSCLK/48, the external oscillator clock/8, or an external
input T1. For any given Timer 1 clock source, the UART0 baud rate is determined by Equation 22.1-A and
Equation 22.1-B.
A)
UARTBaudRate = 1--  T1_Overflow_Rate
2
B)
T1_Overflow_Rate = -----T---1----C----L----K------
256 – TH1
Equation 22.1. UART0 Baud Rate
Where T1CLK is the frequency of the clock supplied to Timer 1, and T1H is the high byte of Timer 1 (reload
value). Timer 1 clock frequency is selected as described in Section “25. Timers” on page 224. A quick ref-
erence for typical baud rates and system clock frequencies is given in Table 22.1. The internal oscillator
may still generate the system clock when the external oscillator is driving Timer 1.
194
Rev. 1.1