English
Language : 

MC68HC705CT4 Datasheet, PDF (94/152 Pages) Freescale Semiconductor, Inc – General Release Specification
Freescale Semiconductor, Inc.
Pulse Width Modulator (PWM)
12.2 Introduction
The pulse width modulator (PWM) system has one 6-bit channel to
enable the correct pulse output. The PWM has a fixed frequency of E/64,
where E is the internal bus frequency. For a PWM output frequency of
32 kHz, E must be 2.048 MHz. This corresponds to a 10.24-MHz crystal
with the divide-by-five crystal option.
BUFFER
MODULUS &
COMPARATOR
PWM
PIN LOGIC
PC7/PWM
E
6-BIT COUNTER
MISCELLANEOUS CONTROL REGISTER
Figure 12-1. PWM Block Diagram
General Release Specification
MC68HC705CT4 — Rev. 2.0
94
Pulse Width Modulator (PWM)
MOTOROLA
For More Information On This Product,
Go to: www.freescale.com