English
Language : 

DS669 Datasheet, PDF (3/28 Pages) Xilinx, Inc – AXI Interface Based
AXI Interface Based KC705 Embedded Kit MicroBlaze Processor Subsystem Data Sheet
Connections between the AXI-Lite interconnect and other peripherals are shown as buses for better graphical
representation. The actual connections are point-to-point between each master port of the interconnect to the corresponding
peripheral.
This system is implemented in the Kintex®-7 XC7K325TFFG900-2 FPGA using the ISE® Design Suite: Embedded Edition
14.x. The device utilization for the system is:
• Total LUTs used: 32,986 out of 203,800 (15%)
• Total I/Os used: 235 out of 500 (47%)
The specific feature utilization for the system is shown here:
Number of RAMB36E1/FIFO36E1s:
Number using RAMB36E1 only:
Number using FIFO36E1 only:
Number of RAMB18E1/FIFO18E1s:
Number using RAMB18E1 only:
Number using FIFO18E1 only:
Number of BUFG/BUFGCTRLs:
Number used as BUFGs:
Number used as BUFGCTRLs:
Number of IDELAYE2/IDELAYE2_FINEDELAYs:
Number used as IDELAYE2s:
Number used as IDELAYE2_FINEDELAYs:
Number of ILOGICE2/ILOGICE3/ISERDESE2s:
Number used as ILOGICE2s:
Number used as ILOGICE3s:
Number used as ISERDESE2s:
Number of ODELAYE2/ODELAYE2_FINEDELAYs:
Number of OLOGICE2/OLOGICE3/OSERDESE2s:
Number used as OLOGICE2s:
Number used as OLOGICE3s:
Number used as OSERDESE2s:
Number of PHASER_IN/PHASER_IN_PHYs:
Number used as PHASER_INs:
Number used as PHASER_IN_PHYs:
Number of LOCed PHASER_IN_PHYs:
Number of PHASER_OUT/PHASER_OUT_PHYs:
Number used as PHASER_OUTs:
Number used as PHASER_OUT_PHYs:
Number of LOCed PHASER_OUT_PHYs:
Number of BSCANs:
Number of BUFHCEs:
Number of BUFRs:
Number of CAPTUREs:
Number of DNA_PORTs:
Number of DSP48E1s:
Number of EFUSE_USRs:
Number of FRAME_ECCs:
Number of GTXE2_CHANNELs:
Number of GTXE2_COMMONs:
Number of ICAPs:
Number of IDELAYCTRLs:
Number of IN_FIFOs:
Number of LOCed IN_FIFOs:
Number of MMCME2_ADVs:
Number of OUT_FIFOs:
Number of LOCed OUT_FIFOs:
Number of PCIE_2_1s:
Number of PHASER_REFs:
Number of LOCed PHASER_REFs:
Number of PHY_CONTROLs:
32 out of
32
0
7 out of
7
0
6 out of
5
1
74 out of
74
0
96 out of
32
0
64
0 out of
192 out of
89
0
103
8 out of
0
8
8 out of
11 out of
0
11
11 out of
1 out of
0 out of
1 out of
0 out of
0 out of
3 out of
0 out of
0 out of
0 out of
0 out of
0 out of
3 out of
8 out of
8 out of
2 out of
11 out of
11 out of
0 out of
3 out of
3 out of
3 out of
445 7%
890 1%
32 18%
500 14%
500 19%
150 0%
500 38%
40 20%
8 100%
40 27%
11 100%
4 25%
168 0%
40 2%
1 0%
1 0%
840 1%
1 0%
1 0%
16 0%
4 0%
2 0%
10 30%
40 20%
8 100%
10 20%
40 27%
11 100%
1 0%
10 30%
3 100%
10 30%
DS669 (v2.0) April 23, 2013
www.xilinx.com
Product Specification
3