English
Language : 

DS669 Datasheet, PDF (19/28 Pages) Xilinx, Inc – AXI Interface Based
AXI Interface Based KC705 Embedded Kit MicroBlaze Processor Subsystem Data Sheet
Table 7: Clock Generator Configuration Settings (Cont’d)
Component
Frequency
(MHz)
Phase
Buffered
dlmb
• LMB_CLK
150.000000
0
TRUE
debug_module
• S_AXI_ACLK
100.000000
0
TRUE
Dual_Timer_Counter
• S_AXI_ACLK
100.000000
0
TRUE
Internal_BRAM
• S_AXI_ACLK
100.000000
0
TRUE
RS232_Uart_1
• S_AXI_ACLK
100.000000
0
TRUE
Push_Buttons_5Bits
• S_AXI_ACLK
100.000000
0
TRUE
LEDs_8Bits
• S_AXI_ACLK
100.000000
0
TRUE
DIP_Switches_8Bits
• S_AXI_ACLK
100.000000
0
TRUE
LCD_GPIO
• S_AXI_ACLK
100.000000
0
TRUE
ROTARY_GPIO
• S_AXI_ACLK
100.000000
0
TRUE
Linear_Flash
• S_AXI_ACLK
100.000000
0
TRUE
• RdClk
100.000000
0
TRUE
IIC_EEPROM
• S_AXI_ACLK
100.000000
0
TRUE
DDR3_SDRAM
• clk
200.000000
0
TRUE
• mem_refclk
800.000000
0
FALSE
• freq_refclk
800.000000
337.5
FALSE
• sync_pulse
50.000000
10
FALSE
• clk_ref
200.000000
0
TRUE
AXI_DMA_Ethernet
• s_axi_lite_aclk
100.000000
0
TRUE
• m_axi_sg_aclk
150.000000
0
TRUE
• m_AXI_MM2S_aclk
150.000000
0
TRUE
• m_axi_s2mm_aclk
150.000000
0
TRUE
Soft_Ethernet_MAC
• S_AXI_ACLK
100.000000
0
TRUE
• AXI_STR_TXD_ACLK
150.000000
0
TRUE
• AXI_STR_TXC_ACLK
150.000000
0
TRUE
DS669 (v2.0) April 23, 2013
www.xilinx.com
Product Specification
19