English
Language : 

DS669 Datasheet, PDF (14/28 Pages) Xilinx, Inc – AXI Interface Based
AXI Interface Based KC705 Embedded Kit MicroBlaze Processor Subsystem Data Sheet
X-Ref Target - Figure 4
DDR
MICROBLAZE EDK IP CORE
EDK IP CORE
LOCAL PCORE
THIRD PARTY IP CORE
DDR CONTROLLER
64bit@800MHz
23 Gb/s
MASTER
PERF_MONITOR_0
DIP_SWITCHES
GPIO_RST
LOGISDHC_0
AXI_XADC_0
512bit@200 MHz
AXI MM
INTERCONNECT
SLAVE
3.8 Gb/s
S2MM
DVI_0 VDMA
S2MM
SLAVE
SLAVE
SLAVE
AXI2AXI CONNECTOR
AXI2AXI CONNECTOR
Internal BRAM
AXI2AXI CONNECTOR
BRAM Controller
MASTER
512bit@150 MHz
AXI MM
INTERCONNECT
MASTER
512bit@150 MHz
MASTER
AXI MM
MASTER
INTERCONNECT
32bit@
150 MHz
SLAVE
SLAVE
3.8 Gb/s
1 Gb/s
SLAVE
1 Gb/s
MM2S S2MM
SCALER_0 VDMA
TPG_0
VDMA_
S2MM
SLAVE
1 Gb/s
SLAVE
SLAVE
SLAVE
3.8 Gb/s
3.8 Gb/s
1 Gb/s
TPG_2
VDMA_
S2MM
S2MM
DVI_1 VDMA
S2MM
MM2S S2MM
SCALER_2 VDMA
SLAVE
SLAVE
SLAVE
IC
DC
MICROBLAZE
AXI_DMA
ETHERNET
SOFT
ETHERNET
MAC
DVI2AXI
FMC DVI IN
(1920x1080)
(1080p)
AXI_TPG_DVI_0
VIDEO_MUX_0
TIME BASE
GENERATOR
FMC DVI IN
(1920x1080)
(1080p)
VIDEO_MUX_1
AXI_TPG_DVI_1
AXI_SCALAR
AXI
TPG_0
AXI
TPG_2
DVI_SCALAR
DVI_SCALAR
DVI2AXI
AXI_SCALAR
SLAVE
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
SLAVE
MASTER
MASTER
MASTER
MASTER
SLAVE
3.8 Gb/s
LOGIC CVC
IIC_EEPROM_HDMI
DEBUG_MODULE
DUAL_TIMER_CNTR
RS232_UART_1
PUSH_BUTTONS
LEDS
LCD_GPIO
ROTARY_GPIO
LINEAR_FLASH
INTERRUPT_CNTLR
SLAVE
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
MASTER
HDMI_OUT
1920x1080
ADV7511
HDMI
IIC
Ethernet
Figure 4: Video Demonstration Block Diagram
DS669_04_041013
Note: Connections between the AXI-Lite interconnect and other peripherals are shown as buses only for better graphical representation.
The actual connections are point-to-point between each master port of the interconnect to the corresponding peripheral.
This system is implemented in the Kintex-7 XC7K325TFFG900-2 FPGA using the ISE Design Suite: Embedded Edition
14.x. The device utilization for the system is:
• Total LUTs used: 86482 out of 203,800 (42%)
• Total I/Os used: 311 out of 500 (61%)
The specific feature utilization for the system is shown here:
Number of RAMB36E1/FIFO36E1s:
Number using RAMB36E1 only:
Number using FIFO36E1 only:
Number of RAMB18E1/FIFO18E1s:
Number using RAMB18E1 only:
Number using FIFO18E1 only:
Number of BUFG/BUFGCTRLs:
Number used as BUFGs:
Number used as BUFGCTRLs:
Number of IDELAYE2/IDELAYE2_FINEDELAYs:
Number used as IDELAYE2s:
Number used as IDELAYE2_FINEDELAYs:
Number of ILOGICE2/ILOGICE3/ISERDESE2s:
Number used as ILOGICE2s:
Number used as ILOGICE3s:
203 out of
203
0
47 out of
47
0
8 out of
5
3
74 out of
74
0
96 out of
32
0
445 45%
890 5%
32 25%
500 14%
500 19%
DS669 (v2.0) April 23, 2013
www.xilinx.com
Product Specification
14