English
Language : 

DS646 Datasheet, PDF (8/11 Pages) Xilinx, Inc – Integrated Logic Analyzer
LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a)
Ports and Parameters
Ports
The ICON Interface Ports are shown and described in Table 1.
Table 1: ICON Interface Ports
Port Name
Direction
Description
CAPTURE_IN
IN
CAPTURE signal from the external BSCAN component. Optional (depends on
use_ext_bscan parameter).
CAPTURE_OUT
OUT
CAPTURE signal from the unused USER scan chain of the internal BSCAN
component. Optional (depends on use_unused_bscan parameter).
CONTROL0[35:0]
INOUT(1)
Provides control and status connection to the first ChipScope Pro target core.
Mandatory.
CONTROL1[35:0]
INOUT(1)
Provides control and status connection to the second ChipScope Pro target core.
Optional (depends on number_control_ports parameter).
CONTROL2[35:0]
INOUT(1)
Provides control and status connection to the third ChipScope Pro target core. Optional
(depends on number_control_ports parameter).
CONTROL3[35:0]
INOUT(1)
Provides control and status connection to the fourth ChipScope Pro target core.
Optional (depends on number_control_ports parameter).
CONTROL4[35:0]
INOUT(1)
Provides control and status connection to the fifth ChipScope Pro target core. Optional
(depends on number_control_ports parameter).
CONTROL5[35:0]
INOUT(1)
Provides control and status connection to the sixth ChipScope Pro target core. Optional
(depends on number_control_portsparameter).
CONTROL6[35:0]
INOUT(1)
Provides control and status connection to the seventh ChipScope Pro target core.
Optional (depends on number_control_ports parameter).
CONTROL7[35:0]
INOUT(1)
Provides control and status connection to the eighth ChipScope Pro target core.
Optional (depends on number_control_ports parameter).
CONTROL8[35:0]
INOUT(1)
Provides control and status connection to the ninth ChipScope Pro target core.
Optional (depends on number_control_ports parameter).
CONTROL9[35:0]
INOUT(1)
Provides control and status connection to the tenth ChipScope Pro target core.
Optional (depends on number_control_ports parameter).
CONTROL10[35:0]
INOUT(1)
Provides control and status connection to the eleventh ChipScope Pro target core.
Optional (depends on number_control_ports parameter).
CONTROL11[35:0]
INOUT(1)
Provides control and status connection to the twelfth ChipScope Pro target core.
Optional (depends on number_control_ports parameter).
CONTROL12[35:0]
INOUT(1)
Provides control and status connection to the thirteenth ChipScope Pro target core.
Optional (depends on number_control_ports parameter).
CONTROL13[35:0]
INOUT(1)
Provides control and status connection to the fourteenth ChipScope Pro target core.
Optional (depends on number_control_ports parameter).
CONTROL14[35:0]
INOUT(1)
Provides control and status connection to the fifteenth ChipScope Pro target core.
Optional (depends on number_control_ports parameter).
DRCK_IN
IN
DRCK signal from the external BSCAN component. Optional (depends on
use_ext_bscan parameter).
DRCK_OUT
OUT
DRCK signal from the unused USER scan chain of the internal BSCAN component.
Optional (depends on use_unused_bscan parameter).
RESET_IN
IN
RESET signal from the external BSCAN component. Optional (depends on
use_ext_bscan parameter).
RESET_OUT
OUT
RESET signal from the unused USER scan chain of the internal BSCAN component.
Optional (depends on use_unused_bscan parameter).
DS646 June 22, 2011
www.xilinx.com
8
Product Specification