English
Language : 

DS646 Datasheet, PDF (6/11 Pages) Xilinx, Inc – Integrated Logic Analyzer
LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a)
--
-- VIO Pro core instance
--
-------------------------------------------------------------------
-- For Control Port0
VIO_inst0: chipscope_vio
port map (
CONTROL => control0,
ASYNC_IN => "00000001");
end icon_arch;
Example 2: ICON Connection in Verilog
module example_chipscope_icon ();
//-----------------------------------------------------------------
// Local Signals
//-----------------------------------------------------------------
wire [35: 0] control0;
//-----------------------------------------------------------------
//
// ICON Pro core instance
//
//-----------------------------------------------------------------
chipscope_icon ICON_inst
(
.CONTROL0(control0));
//-----------------------------------------------------------------
//
// VIO Pro core instance
//
//-----------------------------------------------------------------
// For Control Port0
chipscope_vio VIO_inst0
(
.CONTROL(control0),
.ASYNC_IN(8'd1));
endmodule
//-------------------------------------------------------------------
//
// ICON Pro core module declaration
//
//-------------------------------------------------------------------
module chipscope_icon
(
inout [35:0] CONTROL0);
endmodule
//-------------------------------------------------------------------
//
// VIO Pro core module declaration
//
//-------------------------------------------------------------------
DS646 June 22, 2011
www.xilinx.com
6
Product Specification