English
Language : 

HY5PS12421F-E3 Datasheet, PDF (23/35 Pages) Hynix Semiconductor – 512Mb DDR2 SDRAM
Parameter
Symbol
Four Active Window for 1KB page size products
Four Active Window for 2KB page size products
CAS to CAS command delay
Write recovery time
Auto precharge write recovery + precharge time
Internal write to read command delay
Internal read to precharge command delay
Exit self refresh to a non-read command
Exit self refresh to a read command
Exit precharge power down to any non-read command
Exit active power down to read command
Exit active power down to read command
(Slow exit, Lower power)
CKE minimum pulse width
(high and low pulse width)
ODT turn-on delay
ODT turn-on
ODT turn-on(Power-Down mode)
ODT turn-off delay
ODT turn-off
ODT turn-off (Power-Down mode)
ODT to power down entry latency
ODT power down exit latency
OCD drive mode output delay
Minimum time clocks remains ON after CKE asynchronously drops
LOW
tFAW
tFAW
tCCD
tWR
tDAL
tWTR
tRTP
tXSNR
tXSRD
tXP
tXARD
tXARDS
tCKE
tAOND
tAON
tAONPD
tAOFD
tAOF
tAOFPD
tANPD
tAXPD
tOIT
tDelay
1HY5PS12421(L)F
HY5PS12821(L)F
HY5PS121621(L)F
DDR2-667
min
max
37.5
-
50
-
2
15
-
WR+tRP
-
7.5
-
7.5
tRFC + 10
200
-
2
-
2
6 - AL
-Continued
Unit
Note
ns
ns
tCK
ns
tCK
14
ns
ns
3
ns
tCK
tCK
tCK
1
tCK
1, 2
3
tCK
2
2
tCK
tAC(min)
tAC(max)+0.7
ns
6,16
tAC(min)+2
2tCK+tAC(max)
+1
ns
2.5
2.5
tCK
tAC(min)
tAC(max)+ 0.6
ns
17
tAC(min)+2
3
8
0
2.5tCK+tAC(ma
x)+1
ns
tCK
tCK
12
ns
tIS+tCK+tIH
ns
15
Rev. 1.4 / July 2006
23