English
Language : 

AMC1204_15 Datasheet, PDF (36/37 Pages) Texas Instruments – AMC1204 20-MHz, Second-Order, Isolated Delta-Sigma Modulator