English
Language : 

CS42438 Datasheet, PDF (8/64 Pages) Cirrus Logic – 108 dB, 192 kHz 6-in, 8-out TDM CODEC
2 PIN DESCRIPTIONS - HARDWARE MODE
AIN5_MUX
AIN6_MUX
MFREQ
ADC3_HPF
RST
VLC
FS
VD
DGND
VLS
SCLK
MCLK
ADC_SDOUT/
ADC3_SINGLE
52 51 50 49 48 47 46 45 44 43 42 41 40
1
39
2
38
3
37
4
36
5
35
6
7
CS42438
34
33
8
32
9
31
10
30
11
29
12
28
13
27
14 15 16 17 18 19 20 21 22 23 24 25 26
AIN1+
AIN1-
VA
VQ
AGND
AOUT8-
AOUT8+
AOUT7+
AOUT7-
AOUT6-
AOUT6+
AOUT5+
AOUT5-
Pin Name
AIN5_MUX
AIN6_MUX
MFREQ
ADC3_HPF
RST
VLC
FS
VD
VLS
SCLK
ADC_SDOUT/
ADC3_SINGLE
DAC_SDIN
AUX_LRCK
# Pin Description
1 Analog Input Multiplexer (Input) - Allows selection between the A and B single-ended inputs of
2 ADC3. See sections 7.6.7 and 7.6.8 for details.
3 MCLK Frequency (Input) - Sets the required frequency range of the input Master Clock. See sec-
tion 5.4 for the appropriate settings.
4 ADC3 High-Pass Filter Freeze (Input) - When this pin is driven high, the internal high-pass filter
will be disabled for ADC3.The current DC offset value will be frozen and continue to be subtracted
from the conversion result. See “ADC Digital Filter Characteristics” on page 15.
5 Reset (Input) - The device enters a low power mode and all internal registers are reset to their
default settings when low.
6 Control Port Power (Input) - Determines the required signal level for the control port interface.
See “Digital I/O Pin Characteristics” on page 7.
7 Frame Sync (Input) - Signals the start of a new TDM frame in the TDM digital interface format.
8 Digital Power (Input) - Positive power supply for the digital section.
10 Serial Port Interface Power (Input) - Determines the required signal level for the serial port inter-
faces.
11 Serial Clock (Input) - Serial clock for the serial audio interface. Input frequency must be 256xFs.
13 Serial Audio Data Output (Output) - TDM output for two’s complement serial audio data. Start-up
Option for Hardware Mode: Pull-up to VLS enables Single-Ended Mode for AIN5-AIN6.
14 DAC Serial Audio Data Input (Input) - Input for two’s complement serial audio data.
15 Auxiliary Left/Right Clock (Output) - Determines which channel, Left or Right, is currently active
on the Auxiliary serial audio data line.
8
DS646PP2